Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

MAINT: Make bitfield integers unsigned #25118

Merged
merged 1 commit into from
Nov 11, 2023
Merged
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
14 changes: 7 additions & 7 deletions numpy/core/src/_simd/_simd_inc.h.src
Original file line number Diff line number Diff line change
Expand Up @@ -105,19 +105,19 @@ typedef struct
// type name compatible with python style
const char *pyname;
// returns '1' if the type represent a unsigned integer
int is_unsigned:1;
unsigned int is_unsigned:1;
// returns '1' if the type represent a signed integer
int is_signed:1;
unsigned int is_signed:1;
// returns '1' if the type represent a single or double precision
int is_float:1;
unsigned int is_float:1;
// returns '1' if the type represent a boolean
int is_bool:1;
unsigned int is_bool:1;
// returns '1' if the type represent a sequence
int is_sequence:1;
unsigned int is_sequence:1;
// returns '1' if the type represent a scalar
int is_scalar:1;
unsigned int is_scalar:1;
// returns '1' if the type represent a vector
int is_vector:1;
unsigned int is_vector:1;
// returns the len of multi-vector if the type represent x2 or x3 vector
// otherwise returns 0, e.g. returns 2 if data type is simd_data_vu8x2
int is_vectorx;
Expand Down