Skip to content

Issues: YosysHQ/yosys

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

system verilog "import" command not recognized (ERROR: syntax error, unexpected TOK_ID) pending-verification This issue is pending verification and/or reproduction
#4447 opened Jun 11, 2024 by titan73
Performance Issue: Synthesis Takes Too Long to Complete pending-verification This issue is pending verification and/or reproduction
#4445 opened Jun 11, 2024 by LoSyTe
Throws a segmentation fault if the 'input.blif' file's size is huge. pending-verification This issue is pending verification and/or reproduction
#4441 opened Jun 10, 2024 by dmanjun5
cell reference names appear to be truncated pending-verification This issue is pending verification and/or reproduction
#4435 opened Jun 7, 2024 by Cronus-38
formal: assert triggered a clock step too late pending-verification This issue is pending verification and/or reproduction
#4426 opened Jun 3, 2024 by NikLeberg
CXXRTL: >20x compile time regression with clang++-18 bug cxxrtl pending-verification This issue is pending verification and/or reproduction
#4419 opened May 27, 2024 by Wren6991
A topological loop is generated after using async2sync pending-verification This issue is pending verification and/or reproduction
#4414 opened May 24, 2024 by ZhiyuanYan
Yosys right shift error pending-verification This issue is pending verification and/or reproduction
#4413 opened May 24, 2024 by WeneneW
Abnormal output pending-verification This issue is pending verification and/or reproduction
#4407 opened May 22, 2024 by WeneneW
make error 'abc' is not configured as a git submodule. pending-verification This issue is pending verification and/or reproduction
#4403 opened May 20, 2024 by Krishnakumarmohanraj
write_smt2: "-wires" option leads to inequivalent descriptions pending-verification This issue is pending verification and/or reproduction
#4361 opened Apr 27, 2024 by YikeZhou
Should -nomx8 be the default for the GateMate? pending-verification This issue is pending verification and/or reproduction
#4355 opened Apr 23, 2024 by spth
ProTip! Updated in the last three days: updated:>2024-06-08.