{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"yosys","owner":"YoWASP","isFork":false,"description":"Unofficial Yosys WebAssembly packages","allTopics":["python","fpga","pypi","yosys","yowasp","webassembly"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":3,"starsCount":63,"forksCount":2,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-12T00:53:01.990Z"}},{"type":"Public","name":"openFPGALoader-web","owner":"YoWASP","isFork":false,"description":"Unofficial openFPGALoader packages built for WebUSB","allTopics":["fpga","usb","webusb","openfpgaloader","yowasp"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":0,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-10T01:32:21.722Z"}},{"type":"Public","name":"clang","owner":"YoWASP","isFork":false,"description":"Unofficial clang WebAssembly packages","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":0,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-09T06:30:16.435Z"}},{"type":"Public","name":"sphinxcontrib-wavedrom","owner":"YoWASP","isFork":false,"description":"A Sphinx extension for embedding WaveDrom diagrams","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":0,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T03:15:17.008Z"}},{"type":"Public","name":"vscode","owner":"YoWASP","isFork":false,"description":"YoWASP toolchain for Visual Studio Code","allTopics":[],"primaryLanguage":{"name":"TypeScript","color":"#3178c6"},"pullRequestCount":0,"issueCount":0,"starsCount":11,"forksCount":2,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-23T19:24:54.553Z"}},{"type":"Public","name":"runtime-py","owner":"YoWASP","isFork":false,"description":"Common runtime for YoWASP packages deployed to PyPI, interfacing with the WebAssembly engine and the OS","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":1,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-20T23:45:37.185Z"}},{"type":"Public","name":"llvm-project","owner":"YoWASP","isFork":true,"description":"Fork of LLVM ported to run on WebAssembly/WASI","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":10793,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-20T16:04:32.345Z"}},{"type":"Public","name":"nextpnr","owner":"YoWASP","isFork":false,"description":"Unofficial nextpnr WebAssembly packages","allTopics":["pypi","webassembly","nextpnr","yowasp","python","fpga"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":1,"starsCount":15,"forksCount":3,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-18T19:16:32.670Z"}},{"type":"Public","name":"boolector","owner":"YoWASP","isFork":false,"description":"Unofficial nextpnr WebAssembly packages","allTopics":["fpga","pypi","webassembly","yosys","boolector"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-18T19:01:03.052Z"}},{"type":"Public","name":"runtime-js","owner":"YoWASP","isFork":false,"description":"Common runtime for YoWASP packages deployed to NPM, interfacing with Node.js and the browser","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":2,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-11T08:06:52.594Z"}},{"type":"Public","name":"wavedrom","owner":"YoWASP","isFork":false,"description":"WaveDrom generates diagrams for digital waveforms, bit fields, and simple combinational circuits","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":0,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-09T23:45:28.190Z"}},{"type":"Public","name":"yowasp.github.io","owner":"YoWASP","isFork":false,"description":"Project documentation","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":2,"starsCount":7,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-11T14:37:11.508Z"}},{"type":"Public","name":"toolchain-demo","owner":"YoWASP","isFork":false,"description":"Demonstration of the YoWASP toolchain being used with Visual Studio Code to program a Radiona ULX3S board","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":10,"forksCount":4,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-01T05:40:11.540Z"}}],"repositoryCount":13,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}